FPGA编译报错内容[Common 17-55] ‘set
作者:mmseoamin日期:2024-01-19

项目场景:

FPGA代码编译时报错

问题描述

编译报错内容[Common 17-55] ‘set_property’ expects at least one object.

原因分析:

当一个引脚存在于xdc文件中,但是工程的顶层模块的引脚里没有这个引脚时,就会报出这个错误

解决方案:

查找报错的这个引脚是否存在于工程的顶层模块的引脚列表中,

最大的可能是xdc中的引脚名与工程中的引脚名不一致,一般是拼写错误,或者误删了;

如果不小心将工程的顶层文件设置错误

那么就会爆出很多个[Common 17-55] ‘set_property’ expects at least one object.错误;